首页 > 关键词 > 光刻技术最新资讯
光刻技术

光刻技术

在日前举行的英伟达+GPU+技术大会上,英伟达公布了其在计算光刻技术方面的突破。新的+Nvidia+cuLitho+软件库将加速计算引入了计算光刻领域,使半导体公司能够在这一领域取得突破。从长远来看,cuLitho+将实现更好的设计规则、更高的密度、更高的产量和+AI+驱动的光刻技术。...

特别声明:本页面标签名称与页面内容,系网站系统为资讯内容分类自动生成,仅提供资讯内容索引使用,旨在方便用户索引相关资讯报道。如标签名称涉及商标信息,请访问商标品牌官方了解详情,请勿以本站标签页面内容为参考信息,本站与可能出现的商标名称信息不存在任何关联关系,对本页面内容所引致的错误、不确或遗漏,概不负任何法律责任。站长之家将尽力确保所提供信息的准确性及可靠性,但不保证有关资料的准确性及可靠性,读者在使用前请进一步核实,并对任何自主决定的行为负责。任何单位或个人认为本页面内容可能涉嫌侵犯其知识产权或存在不实内容时,可及时向站长之家提出书面权利通知或不实情况说明,并提权属证明及详细侵权或不实情况证明(点击查看反馈联系地址)。本网站在收到上述反馈文件后,将会依法依规核实信息,第一时间沟通删除相关内容或断开相关链接。

与“光刻技术”的相关热搜词:

相关“光刻技术” 的资讯15259篇

  • 台积电预计 6 月将英伟达 AI 加速计算光刻技术导入 2 纳米试产

    在日前举行的英伟达+GPU+技术大会上,英伟达公布了其在计算光刻技术方面的突破。新的+Nvidia+cuLitho+软件库将加速计算引入了计算光刻领域,使半导体公司能够在这一领域取得突破。从长远来看,cuLitho+将实现更好的设计规则、更高的密度、更高的产量和+AI+驱动的光刻技术。

  • 华为公布有关EUV光刻技术的新专利

    在集成电路制造中,光刻覆盖了微纳图形的转移、加工和形成环节,决定着集成电路晶圆上电路的特征尺寸和芯片内晶体管的数量,是集成电路制造的关键技术之一。随着半导体工艺向7nm及以下节点的推进,极紫外光刻成为首选的光刻技术。该专利申请提供一种反射镜、光刻装置及其控制方法,涉及光学领域,能够解决相干光因形成固定的干涉图样无法匀光的问题,在极紫外光的光刻装置基础上进行了优化,进达到匀光的目的,进解决了相关技术中因相干光形成固定的干涉图样无法匀光的问题。

  • 中科院:计算光刻技术取得重大进展

    中国科学院官网刊文称,上海光机所在计算光刻技术研究方面取得重要进展。近日,中科院上海光学精密机械研究所信息光学与光电技术实验室提出一种基于虚拟边(Virtual Edge)与双采样率像素化掩模图形(Mask pixelation with two-phase sampling)的快速光学邻近效应修正技术(Optical proximity correction, OPC)。仿真结果表明,该技术具有较高的修正效率。光刻是极大规模集成电路制造的关键技术之一,光刻分辨率决定集成电路的特

  • 三星已经部署极紫外光刻技术生产存储芯片

    3月26日据oltnews援引日经新闻报道,三星电子本周三宣布,已经在首尔华盛市的一家DRAM工厂的一条生产线上部署了极紫外光刻技术(EUV),将精密内存芯片制造的生产率提高一倍。三星是第一个将这项技术用于大规模生产存储芯片的公司,这是 20 年来生产技术的首次重新设计。三星的竞争对手SK海力士计划2021年在DRAM生产线上安装EUV设备。

  • 三星宣布7nm LPP量产!基于EUV光刻技术、性能增加20%

    10月18日早间消息,三星官方宣布,已经开始进行7nm LPP(Low Power Plus)工艺芯片的量产工作。

  • ASML拒绝新一代EUV光刻机跳票:找到日本巨头技术驰援

    日前传出ASML新一代光刻机EXE:5000跳票到2025年之后的消息,但似乎荷兰人已经找到帮手帮自己提速。日本最大半导体成膜、蚀刻设备公司东京电子(东京威力科创,Tokyo Electron)宣布,将在镀膜/显影技术上与ASML合作,以联合推进其下一代NA EUV光刻机的研制,确保2023年投入运行。据悉,参与该EUV光刻机研发的还有IMEC,即位于比利时的欧洲微电子中心。东京电子表示,自旋金属抗蚀剂已显示出高分辨率和高蚀刻电阻,并有望使图案更加

  • 英唐智控:收购日本芯片半导体光刻机先锋微技术已获日本政府批准

    8月24日消息,英唐智控公在互动平台表示,先锋微技术自有的生产设备中包含有日本产的光刻机设备,其收购事项已经获得日本政府批准。先锋微技术光刻机主要用于模拟芯片的制造,在满足其自身生产研发需要的前提下,不排除向具备条件的其他客户提供产能支持,但公司目前尚未启动该类型的合作。另一方面,投资者问询该光刻机项目是否会与华为合作,英唐智控回应道:先锋微技术光刻机主要用于模拟芯片的制造,在满足其自身?

  • 光刻机巨头阿斯麦ASML新CEO上任:中国业务成首要工作

    荷兰光刻机巨头阿斯麦ASML迎来了新CEO,法国人克里斯托夫富凯。法国媒体在报道这一消息时直言,富凯上任后将面临不少棘手的待办事项,但如何处理公司在华业务则是首要工作”。”同时他还认为,从贸易的角度来看,不同地区之间脱钩是非常困难的,特别是这个行业需要高度协作。

  • 中国台湾花莲县7.3级地震 台积电:EUV光刻机等设备无受损

    4月3日7时58分,中国台湾花莲县海域发生7.3级地震,后续记录到上百起余震。4月3日晚,台积电对中国台湾发生的地震所造成影响做出最新评估。有网友表示:越先进的工艺,受影响越大”估计损失可能要好几亿美元了,机台上的晶圆都废了”。

  • 3 亿美元能造1.8nm!Intel收到全球第一台高NA EUV极紫外光刻机

    Intel官方宣布,位于俄勒冈州的晶圆厂已经收到ASML发货的全球第一台高NAEUV极紫外光刻机,型号为TwinscanEXE:5000”,它将帮助Intel继续推进摩尔定律。Intel早在2018年就向ASML订购了这种新一代光刻机,将用于计划今年量产的Intel18A制造工艺,也就是1.8nm级别。新光刻机的价格估计至少3亿美元,甚至可能达到或超过4亿美元,也就是逼近人民币30亿元现有低NAEUV光刻机需要2亿美元左右。

  • 7nm、3nm光刻机没有无妨!ASML力挺中国:能把成熟制程做到全球领先

    全球光刻机巨头ASML全球副总裁、中国区总裁沈波接受媒体采访时表示,先进制程的光刻机固然重要,但成熟制程同样不容忽视。ASML是全球光刻机巨头,尤其先进制程光刻机只有ASML可以提供,但ASML的先进制程光刻机入华受到限制。公司看到其他客户的需求时间节点发生了变化,这使ASML能够向中国客户交付更多设备。

  • 谁说不卖机器给中国!ASML:中国已有近1400台ASML光刻机

    快科技11月3日消息,毫无疑问,中国厂商正在疯狂抢购ASML的光刻机,但是你知道有多少了吗?在第六届中国国际进口博览会上,ASML全球副总裁、中国区总裁沈波接受媒体采访时透露,到2023年底,ASML在中国的光刻机加上量测的机台装机量接近1400台。沈波表示,去年ASML全球净销售额达212亿欧元,预计2023财年,公司全球销售额增长30%,将达270余亿欧元。据ASML最新季报,明后年全球半导体行业将企稳。预计到2025年,现有晶圆厂产能将会增加并有新的晶圆厂投入使用,半导体设备行业将会迎来比较大的增长,迎来需求的高潮”。沈波透露,我们现在

  • 台积电之后 韩国芯片工厂也关停光刻机了:仅一半产线运转

    由于半导体市场需求不足,台积电最近被传出将EUV光刻机关停一部分,类似的还有韩国半导体工厂,光刻机等设备也要热停机,开工率只有一半左右。韩国芯片公司DBHitek目前的产能利用率只有73.83%,较去年同期的的97.68%大降23%以上。除了热停机降低成本之外,韩国芯片工厂也跟台积电、联电、世界先进一样降价拉拢客户,代工厂已将8英寸晶圆服务降低了约10%,部分代工服务的价格降幅高达20%。

  • 摩尔定律物理极限 台积电要研发1nm工艺:一台光刻机就要30亿

    随着半导体工艺深入到5nm以下,制造难度与成本与日俱增,摩尔定律的物理极限大约在1nm左右,再往下就要面临严重的量子隧穿难题,导致晶体管失效。各大厂商的先进工艺在实际尺寸上都是有水分的,所以纸面意义上的1nm工艺还是会有的,台积电去年就组建团队研发1.4nm工艺,日前CEO刘德音又表示已经在探索比1.4nm更先进的工艺了。但是下一代EUV光刻机的代价也很高,售价会从目前1.5亿美元提升到4亿美元以上,最终价格可能还要涨,30亿一台设备很考验厂商的成本控制。

  • EUV光刻前的最后疯狂:DDR5内存狂飙 单条1TB不是梦

    随着制程工艺的进步,DRAM内存芯片也面临着CPU/GPU一样的微缩难题,解决办法就是上EUV光刻机,但是设备实在太贵,现在还要榨干DUV工艺最后一滴,DDR5内存有望实现单条1TB。作为第一家推出24Gb核心DDR5的内存公司,美光日前又创造了一个新纪录推出了32Gb核心的DDR5内存颗粒,使用的是比前者1工艺更先进的1工艺,这也是美光最后的非EUV工艺了,再往后不想上EUV也没招了。显存GDDR路线上,2025年会推出GDDR7,频率32Gbps,核心容量16-24Gb,带宽128GB/s以上。

  • 荷兰新规所有DUV光刻机都禁止出口?ASML正式回应

    昨天有消息称,ASML旗下所有的DUV光刻机,出口都要被经过认可才可以。对于这样的说法,也是引起了热议,不过ASML给出了回应。光刻机大厂ASML高管对外表示,半导体业只有通力合作,建立完全自主的产业链,即使并非不可能也会极其困难。

  • 售价直逼30亿 ASML下代EUV光刻机年底问世:1nm工艺必备

    在半导体工艺进入7nm节点之后,EUV光刻机是少不了的关键设备,目前只有ASML能制造,单台售价10亿人民币,今年底还会迎来下一代EUV光刻机,价格也会大涨。光刻机的分辨率越高,越有利于制造更小的晶体管分辨率也跟光刻机物镜的NA数值孔径有直接关系,目前的EUV光刻机是NA=0.33技术的,下代EUV光刻机则是提升到NA=0.55。这还不排除未来正式商用的时候价格进一步上涨,毕竟还要好几年才能上市。

  • ASML吓坏?俄罗斯放狠话加快自研光刻机 能产7nm芯片:合作伙伴敲定

    据俄罗斯媒体报道称,为了推进自研光刻机进度,其已经敲定了相关的合作伙伴。俄罗斯方面将为两大白俄罗斯微电子领域项目提供约100亿卢布信贷支持,受资助企业包括集成电路成套工艺领域的Integral和精密光刻设备领域的Planar。

  • 自主研发 南大光电国产高端光刻胶通过认证 已经用于50nm工艺

    南大广电在互动平台表示,该公司自主研发的高端ArF光刻胶已经通过了客户认证,并少量销售。南大光电表示,公司已有两款ArF光刻胶产品分别在下游客户存储芯片50nm和逻辑芯片55nm技术节点上通过认证,并实现少量销售。2022年,南大光电公司实现营业收入158,123.07万元,同比增长60.62%;归属于上市公司股东的净利润18,673.26万元,同比增37.07%;归属于上市公司股东的扣除非经常性损益后的净利润12,562.52万元,同比增长78.39%。

  • ASML部分先进DUV光刻机出口受限:对准精度不高于2.5nm

    今年3月8日,荷兰方面,计划对半导体技术出口实施新的管制,这些管制措施将在今天夏天之前开始实施。3月9日上午,荷兰光刻机巨头阿斯麦发布声明表示,ASML预计必须申请许可证方可出口DUV设备。至于所谓浸没式光刻机,属于193nm光刻机,可以被用于16nm至7nm先进制程芯片的制造,但是目前也有被业界广泛应用在45nm及以下的成熟制程当中。

  • 支持7nm!高端DUV光刻机可出口后 ASML加快订单处理

    ASML上周已经声明,高端DUV光刻机可以出口这也会让他们加快处理相应的订单。所谓浸没式光刻机,属于193nm光刻机,可以被用于16nm至7nm先进制程芯片的制造,但是目前也有被业界广泛应用在45nm及以下的成熟制程当中。对于今年的表现,ASML表示,将会加快对一些订单的处理,特别是高端DUV光刻机系列,以此来保证公司业绩强劲增长。

  • 努比亚Z50 Ultra星空典藏版公布:纳米级光刻纹理AG玻璃

    努比亚手机今日官宣,将推出努比亚Z50Ultra星空典藏版。新机采用全球唯一环保玻璃立体蚀刻工艺,配合纳米级光刻纹理AG玻璃材质,带来科技与艺术的碰撞。新机将在3月7日14:00正式发布,将是努比亚史上最强旗舰。

  • 周鸿祎:打造中国版ChatGPT难度比研发光刻机低很多

    生成式AI对话机器人ChatGPT爆火后,360董事长周鸿祎饶有兴致地分享了不少观点。2月28日,周鸿祎在个人微博更新视频,他提到一个观点,打造中国版ChatGPT难度比研发光刻机低太多。他希望大学、国家实验室、科研机构、科研体系能够和互联网公司、产业公司结合起来,创造一个比较好的产学研合作模式。

  • 摩尔定律不死 Intel将冲击1nm工艺:用上下一代EUV光刻机

    作为摩尔定律的提出者,Intel也是最坚定的摩尔定律捍卫者,多次表示半导体工艺还会继续提升下去,在现有4年掌握5代CPU工艺之后,Intel还启动了未来两代的CPU工艺研发,目标逼近1nm了。Intel的5代CPU工艺分别是Intel7、Intel4、Intel3、Intel20A及Intel18A,其中Intel7在2021年的12代酷睿上首发了,Intel4会在下半年的14代酷睿上首发会首次用上EUV光刻工艺。不过下代EUV光刻机的成本也会大涨,当前售价在1.5亿美元左右,下代价格轻松超过4亿美元。

  • 一个时代的终结!松下宣布将停止生产蓝光刻录碟

    1月28日,日本电子巨头松下公司表示,将于 2 月份停止生产用于刻录的蓝光光盘。届时,所有公开销售的此类产品都将停产,并且不会发布任何后续产品。这也意味着一个时代的终结,现在大家已经不再需要使用刻录碟来存储资料了。在当年一块60GB硬盘卖800元的年代,一张4.7GB的DVD刻录碟却只卖5块钱。同样的容量只需要1/10的价格,对于消费者来说,DVD刻录碟无疑是更具性价比的存储设备。不过时代在变,随着大容量机械硬盘逐渐白菜价,刻录碟的性价比优势逐渐消失。东芝、松下等厂商在2008 年就停止了 HD DVD 播放器和录像机的开发,同时停止生

  • Intel 4/3/20A/18A四种新工艺都来了!EUV光刻机里程碑

    Intel在先进制造工艺上投入颇深,按照官方说法进展也颇为顺利。Intel7已经大量用于消费级的12/13代酷睿、企业级的4代可扩展至强。Intel20A、Intel18A均已经完成了测试芯片的流片,它们将引入RibbonFET环绕栅极晶体管、PowerVia后端供电两大关键新技术。

  • 光刻机关键原料氖气价格暴涨20倍 中企出手后:降下来了

    芯片制造离不开光刻机,数据显示,全球晶圆制造材料中的第一大耗材是硅片,第二大就是电子特气。以光刻为例,需要的电子特气就包括氖气、氩气、氪气、氙气等几种稀有气体。氖气是钢铁行业的副产品,科创板上市华特气体的光刻气产品于2017年通过阿斯麦的产品认证,国内另一家企业凯美特气也在推进阿斯麦的认证。

  • 2022 年度十大科技热词出炉:元宇宙、光刻机在列

    百度沸点联合凤凰网科技发布了“2022年度科技热词”,分别是元宇宙、Web3.0、数字藏品、量子纠缠、数字人、AIGC、数字经济、光刻机、计算生物学、碳中和。凤凰网科技重点评论了“元宇宙”概念:充满无限可能的“元宇宙”跃居年度科技热词榜首,作为一种新兴业态,元宇宙技术不仅将成为下一代生产力工具,也将推动数字化时代逐步进化到“元宇宙时代”。10、碳中和让碳正负抵消,达到相对“零排放”。

  • 台积电3nm来战 Intel 4工艺来了:首台EUV光刻机已开机

    Intel目前的12代、13代酷睿使用的还是Intel7工艺,明年的14代酷睿MeteorLake将首发Intel4工艺,这也是Intel首次使用EUV光刻的工艺,SRAM晶体管规模几乎接近台积电3nm工艺。日前Intel宣布了一个重要里程碑,首台EUV光刻机已经开机并产生13.5nm波长的光。坏消息是14代酷睿可能只有移动版,桌面版处理器在2023年会是RaptorLake-SRefresh,也就是13代酷睿马甲。

  • 台积电的“金字招牌”5nm/7nm不灵了!EUV光刻被迫停机

    下半年以来的半导体行业调整,似乎并没有给台积电造成比较大的影响,其1~11月的累计收入同比增加了44.6%。DT分析师预计,因为明年上半年需求订单萎缩,一季度台积电的收入将遭遇10~15%的环比下滑。台积电三季度财报显示,5nm连同7nm是公司前两大销售业务来源,合计贡献了超过50%的营收。