首页 > 关键词 > ASML最新资讯
ASML

ASML

快科技2月21日消息,据韩国媒体报道,三星电子今天披露的审计报告显示,该公司在去年第四季度抛售所持荷兰半导体设备制造商阿斯麦(ASML)的剩余158万股股份。这些股份估值约1.2万亿韩元(约合65亿元人民币),据了解三星电子出售ASML股份目的在于为其半导体工艺技术升级筹措资金。在2012年的时候,三星电子斥资约7000亿韩元收购了ASML约3%的股份,用于光刻机开发合作。20...

特别声明:本页面标签名称与页面内容,系网站系统为资讯内容分类自动生成,仅提供资讯内容索引使用,旨在方便用户索引相关资讯报道。如标签名称涉及商标信息,请访问商标品牌官方了解详情,请勿以本站标签页面内容为参考信息,本站与可能出现的商标名称信息不存在任何关联关系,对本页面内容所引致的错误、不确或遗漏,概不负任何法律责任。站长之家将尽力确保所提供信息的准确性及可靠性,但不保证有关资料的准确性及可靠性,读者在使用前请进一步核实,并对任何自主决定的行为负责。任何单位或个人认为本页面内容可能涉嫌侵犯其知识产权或存在不实内容时,可及时向站长之家提出书面权利通知或不实情况说明,并提权属证明及详细侵权或不实情况证明(点击查看反馈联系地址)。本网站在收到上述反馈文件后,将会依法依规核实信息,第一时间沟通删除相关内容或断开相关链接。

与“ASML”的相关热搜词:

相关“ASML” 的资讯46篇

  • 三星以65亿元出售所持ASML全部股份!为半导体技术升级筹措资金

    快科技2月21日消息,据韩国媒体报道,三星电子今天披露的审计报告显示,该公司在去年第四季度抛售所持荷兰半导体设备制造商阿斯麦(ASML)的剩余158万股股份。这些股份估值约1.2万亿韩元(约合65亿元人民币),据了解三星电子出售ASML股份目的在于为其半导体工艺技术升级筹措资金。在2012年的时候,三星电子斥资约7000亿韩元收购了ASML约3%的股份,用于光刻机开发合作。20

  • 7nm、3nm光刻机没有无妨!ASML力挺中国:能把成熟制程做到全球领先

    全球光刻机巨头ASML全球副总裁、中国区总裁沈波接受媒体采访时表示,先进制程的光刻机固然重要,但成熟制程同样不容忽视。ASML是全球光刻机巨头,尤其先进制程光刻机只有ASML可以提供,但ASML的先进制程光刻机入华受到限制。公司看到其他客户的需求时间节点发生了变化,这使ASML能够向中国客户交付更多设备。

  • 佳能押注“纳米压印”芯片生产设备:比ASML EUV售价少一位数

    近日佳能CEO御手洗富士夫在接受受访时表示,该公司基于NIL的新型芯片制造设备售价将比ASML的EUV少一位数。极紫外光刻机的唯一供应商就是ASML,EUV对于大规模生产速度快、能耗低的芯片至关重要,但每台机器的售价高达数亿美元,只有少数公司才有能力购买。”同时其还表示,最终的定价目前还没有敲定,但希望这是一个完全的惊喜。

  • 谁说不卖机器给中国!ASML:中国已有近1400台ASML光刻机

    快科技11月3日消息,毫无疑问,中国厂商正在疯狂抢购ASML的光刻机,但是你知道有多少了吗?在第六届中国国际进口博览会上,ASML全球副总裁、中国区总裁沈波接受媒体采访时透露,到2023年底,ASML在中国的光刻机加上量测的机台装机量接近1400台。沈波表示,去年ASML全球净销售额达212亿欧元,预计2023财年,公司全球销售额增长30%,将达270余亿欧元。据ASML最新季报,明后年全球半导体行业将企稳。预计到2025年,现有晶圆厂产能将会增加并有新的晶圆厂投入使用,半导体设备行业将会迎来比较大的增长,迎来需求的高潮”。沈波透露,我们现在

  • 荷兰新规所有DUV光刻机都禁止出口?ASML正式回应

    昨天有消息称,ASML旗下所有的DUV光刻机,出口都要被经过认可才可以。对于这样的说法,也是引起了热议,不过ASML给出了回应。光刻机大厂ASML高管对外表示,半导体业只有通力合作,建立完全自主的产业链,即使并非不可能也会极其困难。

  • 售价直逼30亿 ASML下代EUV光刻机年底问世:1nm工艺必备

    在半导体工艺进入7nm节点之后,EUV光刻机是少不了的关键设备,目前只有ASML能制造,单台售价10亿人民币,今年底还会迎来下一代EUV光刻机,价格也会大涨。光刻机的分辨率越高,越有利于制造更小的晶体管分辨率也跟光刻机物镜的NA数值孔径有直接关系,目前的EUV光刻机是NA=0.33技术的,下代EUV光刻机则是提升到NA=0.55。这还不排除未来正式商用的时候价格进一步上涨,毕竟还要好几年才能上市。

  • ASML吓坏?俄罗斯放狠话加快自研光刻机 能产7nm芯片:合作伙伴敲定

    据俄罗斯媒体报道称,为了推进自研光刻机进度,其已经敲定了相关的合作伙伴。俄罗斯方面将为两大白俄罗斯微电子领域项目提供约100亿卢布信贷支持,受资助企业包括集成电路成套工艺领域的Integral和精密光刻设备领域的Planar。

  • ASML部分先进DUV光刻机出口受限:对准精度不高于2.5nm

    今年3月8日,荷兰方面,计划对半导体技术出口实施新的管制,这些管制措施将在今天夏天之前开始实施。3月9日上午,荷兰光刻机巨头阿斯麦发布声明表示,ASML预计必须申请许可证方可出口DUV设备。至于所谓浸没式光刻机,属于193nm光刻机,可以被用于16nm至7nm先进制程芯片的制造,但是目前也有被业界广泛应用在45nm及以下的成熟制程当中。

  • 支持7nm!高端DUV光刻机可出口后 ASML加快订单处理

    ASML上周已经声明,高端DUV光刻机可以出口这也会让他们加快处理相应的订单。所谓浸没式光刻机,属于193nm光刻机,可以被用于16nm至7nm先进制程芯片的制造,但是目前也有被业界广泛应用在45nm及以下的成熟制程当中。对于今年的表现,ASML表示,将会加快对一些订单的处理,特别是高端DUV光刻机系列,以此来保证公司业绩强劲增长。

  • ASML做光刻机无敌手 业内仅排第二:真正的半导体设备老大哥在美国

    很多人都知道了ASML、佳能等公司的光刻机。半导体产品显然不是靠一台光刻机就能加工完成,实际上要部署的设备非常多元复杂。应用材料是全球最大的半导体设备商,半导体业务几乎可贯穿整个半导体工艺制程,包含薄膜沉积、离子注入、刻蚀、快速热处理、化学机械平整、测量检测等设备。

  • CINNO发布Q3全球半导体设备制造商营收排行:光刻机巨头阿斯麦(ASML)排名第二位

    CINNO Research日前发布了2022年第三季度全球上市公司半导体设备制造商业务营收排名,其中排名前十位企业营收合计达275亿美元,约合人民币1983亿元,同比增长8.6%,环比增长14.9%。排名第一的是美国应用材料公司,2022年第三季度营收近64亿美元,约合人民币461.亿元;排名第二位的是荷兰光刻机巨头阿斯麦公司;排名第三位的是美国泛林公司;排名第四位的是日本东电电子公司。CINNO Research表示,这前四大公司的半导体业务2022年前三季度的营收合计均已超过125亿美元约合人民币901亿元,并且2022年第三季度单季营收均为今年最高季度营收。

  • 单价翻番到26亿!ASML:所有EUV客户均订购了下一代高NA极紫外光刻机

    ASML(荷兰阿斯麦)正抓紧研制其下一代高NA(0.55数值孔径)的EUV极紫外光刻机,在发布最新财报期间,AMSL透露,其存量EUV客户均订购了新一代设备...韩国设备商透露,现款EUV光刻机的订货价是2000~3000亿韩元(约合10~16亿元人民币),而高NAEUV光刻机的报价翻番到了5000亿韩元(约合26亿元)...在三季度财报中,ASML完成58亿欧元的净销售额,毛利率51.8%、净利润17亿欧元,公司预计四季度净销售额在61~66亿欧元之间......

  • ASML发布Q3财报 EUV光刻机狂卖268亿元

    荷兰ASML公司今天发布了Q3季度财报,净营收同比增长10%至58亿欧元,超出此前预期的53.9亿欧元;净利润17.01亿欧元,同比下降了2.24%,但表现也超出了预期的14.2亿欧元...根据ASML的数据,Q3季度中该公司出货了12台EUV光刻机,与上一年度持平,不过他们在本季度依然获得了89亿欧元的新订单,其中EUV光刻机就占了38亿欧元,折合人民币268亿元......

  • 7nm没人用了 台积电砍了一刀 ASML股价暴跌9%

    去年台积电宣布了史上最大规模的产能提升计划,三年内投资至少1000亿美元扩建成熟及先进产能,其中今年的资本开支就高达400亿美元,随着这次的削减,开支将减少到360亿美元,减少的40亿美元中有20亿美元是用于购买设备的,20亿用于产能优化...如今台积电砍了一刀,自己的股价倒是没啥影响,直接把全球最大的光刻机公司ASML带崩了,今天股价暴跌了9%,收盘每股376.5欧元......

  • 一台几十亿!台积电、Intel、三星疯抢ASML EUV光刻机

    台积电今日(6月17日)在北美技术论坛上公布了新的制程路线图,定于2025年量产2nm工艺,其采用Nanosheet(纳米片电晶体)的微观结构,取代FinFET...台积电还雄心勃勃地提出,要在2025年前将成熟和专业化制程的产能提高50%,包括兴建更多的晶圆厂...台积电表示,计划在2024年引入ASML的新一代EUV极紫外光刻机...这款光刻机价值高达4亿美元(约合26亿元人民币),双层巴士大、重超200吨......

  • 台积电:将于2024年引进下一代ASML High-NA EUV光刻机

    据路透社报道,台积电研发资深副总经理Y.J. Mii在台积电技术论坛上表示,公司将在 2024 年引进ASML高数值孔径极紫外光(high-NA EUV)光刻机...台积电研发高级副总裁Y.J. Mii在台积电硅谷技术研讨会上表示:「展望未来,台积电将在 2024 年引入 High-NA EUV光刻机,以开发客户所需的相关基础设施和图案化解决方案,并推动创新......

  • 三星和光刻机巨头ASML碰面 事关芯片供应

    ASML的EUV机器是先进芯片制造的关键,每台成本高达1.6亿美元(约10.73亿元人民币),产量有限给三星、台积电和英特尔等芯片制造商造成了瓶颈,这些公司计划在未来几年投资超过1000亿美元(约6713亿人民币)建设半导体工厂...元大证券分析师Lee Jae-yun在此前的评论中表示,三星2022年预计将从阿斯麦(ASML)获得18台EUV机器,高于去年估计的15台和2020年的8台......

  • ASML分享High-NA EUV光刻机新进展 目标2024-2025年进厂

    当前市面上最先进的芯片,已经用上了 5 / 4 nm 的制造工艺...新款高 NA 扫描仪仍在开发之中,预计其结构将异常复杂、庞大、且昂贵 —— 每台成本将超过 4 亿美元...不过英特尔又将 18A 的上手时间推迟到了 2024 下半年,并表示可借助 ASML 的 Twinscan NXE:3600D 或 NXE:3800E 系统实现 18A 制造(大概率是利用多重曝光来实现)......

  • ASML中国:现有技术搞定1nm芯片绰绰有余

    5月16日是联合国教科文组织定义的国际光日”,ASML中国官方在一篇微信推送中写道创新,让摩尔定律重焕光彩”...ASML自信满满地指出在元件方面,目前的技术创新足够将芯片的制程推进至至少1纳米节点,包括gate-all-around FETs(环绕栅极晶体管),nanosheet FETs,forksheet FETs以及complementary FETs”...光刻系统分辨率的改进(预计每6年左右缩小2倍)和边缘放置误差(EPE)对精度的衡量也将进一步推动芯片尺寸缩小的实现...

  • ASML透露国产芯片产能 2023年都被抢光?中芯国际回应

    这两年来半导体芯片备受重视,而且遇到了全球产能紧张的问题,导致多家芯片制造商都在大规模扩产,ASML公司CEO透露中国一家主要芯片厂商连2023年的产能都已经售罄,供不应求。针对此消息,有投资者在互动平台上对中芯国际提问,要求他们介绍一下现有产能销售情况,以及今年明年是否会增加新的产能。中芯国际表示,公司2021年末达到62万片(折合8英寸)月产能,全年产能利用率接近满载,2022年将继续扩产,以满足国内外客户的强劲需求。3月30日中芯国际发布2021年业绩,收入约54.43亿美元(约合345亿人民币),同比增长39.3%,其中,晶圆代工

  • 打造下一个ASML?荷兰投资77亿元研发硅光子芯片技术

    目前的硅基半导体芯片在进入10nm之后越来越难以生产,预计2nm到1nm节点就有可能面临无法继续的问题,半导体行业也在积极开发新技术,比如硅光子芯片技术,而ASML公司所在的荷兰就大举投资77亿元研发硅光子技术...如果未来硅光子芯片快速取代硅基芯片,那ASML公司显然会失去领先的地位,这也是为什么荷兰巨资投资硅光子技术引人注意的关键,希望下一个ASML还是掌握在他们手里......

  • 10亿一台的光刻机抢着买 ASMl宣布扩建新加坡工厂:产能三倍

    这几年来台积电、三星、Intel等半导体巨头宣布扩产,这几家投入了数千亿美元新建工厂,然而芯片产能提升还要依赖生产设备的增长,其中ASML的光刻机是关键,10亿一台的EUV光刻机都是抢着买的,现在产能瓶颈严重...扩建完成后,将使得ASML在新加坡的产能提升到原来的3倍,同时全球产能也将倍增...不过ASML在新加坡工厂的三倍产能并不代表者光刻机的整体产能也能提升这么多,因为ASML只在荷兰总部组装光刻机,全球6大生产基地的60多家工厂只是提供零部件,新加坡的工厂也是如此...

  • 格科半导体成功引入ASML先进ArF光刻机

    在3月24日,格科半导体再次迎来了建厂重要标志性时刻,整套生产线中的最关键设备ASML先进ArF光刻机成功搬入...依托自有工厂即格科半导体的先进制程,格科微将进一步加快先进CIS工艺和高阶专利像素的研发速度,并在自有工厂实现批量生产验证,从而极大缩短高端产品从研发到大量供应市场的周期...

  • ASML发出产能预警 芯片制造商面临先进光刻机供应短缺的瓶颈

    ASML 首席执行官 Peter Wennink 刚刚发出警告,称该公司难以满足客户的产品需求...ASML 专注于生产适用于半导体行业的各种类型的光刻机,且在该领域处于世界领先地位,客户中包括了英特尔、台积电、三星等芯片巨头...供应链分析表明,ASML 拥有大约 700 家不同的组件供应商、且其中 200 家相当关键...以卡尔·蔡司为例,该公司为 ASML 光刻机提供了蚀刻过程中所需的光学镜片,但目前无法将镜片生产速度同步提升到 ASML 预期的水平......

  • 半导体制造关键原料面临断供 光刻机一哥ASML急寻备胎

    近期乌克兰局势不稳定,这也意外地加剧了全球半导体行业的紧张,因为该地区生产了全球70%的氖气,这是半导体制造中的关键原料,用量不多但非常重要,以致于光刻机巨头ASML都要寻找备胎,防止断供...该公司发言人也表示,尽管乌克兰是世界上最大的氖气生产国,但阿斯麦使用的氖气中只有不到20%来自该国...中国是全球钢铁大国,对于这些稀有气体的纯化技术已经实现了突破,生产工艺也比较成熟,不再是能够卡中国脖子的技术了......

  • 尴尬了!ASML光刻机在美国卖不动:销售额连续3年下滑

    统计发现,ASML在美国的销售额已经连续三年下滑,截至2021年累计减少了20%...按照ETNews的观点,这表明美国在半导体/集成电路基础设施设备方面的投资不足...具体来说,依据ASML 2021年报,其在美国市场的收入2019年时还是19.8亿欧元,2020年减少到16.57亿欧元,2021年降至15.83亿欧...尽管EUV光刻机仍受限,可去年ASML对中国大陆地区客户的销售额也从2019年的13.77亿欧元增加到了27.4亿欧,远超美国...

  • 工厂突发火灾 ASML确认EUV光刻机生产受影响、DUV没事

    全球半导体产能紧张持续一年了,芯片扩产需要包括光刻机在内的重要设备,结果前几天全球最大光刻机巨头ASML在德国的工厂遭遇火灾,官方表示还要几天才能评估损失,但是现在可以确定主要是EUV光刻机生产会受影响。ASML旗下的这家公司位于德国柏林,前身是Berliner Glas,ASML公司2020年才收购了这家公司,它们的营收额并不高,但生产的是光刻机中的一种重要部件,可以用于光刻机及晶圆之间的接口,需要有纳米级别的操作精度,可以说

  • ASML介绍新一代高NA EUV光刻机:芯片缩小1.7倍、密度增加2.9倍

    按照业内预判,2025年前后半导体在微缩层面将进入埃米尺度(,angstrom,1埃 = 0.1纳米),其中2025对应A14(14=1.4纳米)。除了新晶体管结构、2D材料,还有很关键的一环就是High NA(高数值孔径)EUV光刻机。根据ASML(阿斯麦)透露的最新信息,第一台原型试做机2023年开放,预计由imec(比利时微电子研究中心)装机,2025年后量产,第一台预计交付Intel。Gartner分析师Alan Priestley称,0.55NA下一代EUV光刻机单价将翻番到3亿美

  • 3亿美元单价翻倍!ASML下一代EUV光刻机提前量产:Intel抢首发

    在上月的ITF大会上,半导体行业大脑imec(比利时微电子研究中心)公布的蓝图显示,2025年后晶体管进入埃米尺度(,angstrom,1埃 = 0.1纳米),其中2025对应A14(14=1.4纳米),2027年为A10(10=1nm)、2029年为A7(7=0.7纳米)。当时imec就表示,除了新晶体管结构、2D材料,还有很关键的一环就是High NA(高数值孔径)EUV光刻机。其透露,0.55NA的下代EUV光刻机一号试做机(EXE:5000)会在2023年由ASML提供给imec,2026年量产。?

  • ASML 发布2021年第三季度财报

    【TechWeb】10月24日消息,近日ASML发布了2021年第三季度财报。报告中显示2021年第三季度净销售额 (net sales) 为52亿欧元,净利润 (net income)为17亿欧元,毛利率 (gross margin) 达到51.7%,新增订单金额62亿欧元。ASML 预计2021年第四季度营收约为49亿~52亿欧元,毛利率约51%~52%。ASML 总裁兼首席执行官 Peter Wennink 表示:“我们第三季的营收达到52亿欧元,毛利率达到51.7%,皆符合预期。第三季的新增订单金额达到62亿欧元