首页 > 关键词 > 光刻机最新资讯
光刻机

光刻机

Intel官方宣布,位于俄勒冈州的晶圆厂已经收到ASML发货的全球第一台高NAEUV极紫外光刻机,型号为TwinscanEXE:5000”,它将帮助Intel继续推进摩尔定律。Intel早在2018年就向ASML订购了这种新一代光刻机,将用于计划今年量产的Intel18A制造工艺,也就是1.8nm级别。新光刻机的价格估计至少3亿美元,甚至可能达到或超过4亿美元,也就是逼近人民币30亿元现有低NAEUV光刻机需要2亿美元左右。...

特别声明:本页面标签名称与页面内容,系网站系统为资讯内容分类自动生成,仅提供资讯内容索引使用,旨在方便用户索引相关资讯报道。如标签名称涉及商标信息,请访问商标品牌官方了解详情,请勿以本站标签页面内容为参考信息,本站与可能出现的商标名称信息不存在任何关联关系,对本页面内容所引致的错误、不确或遗漏,概不负任何法律责任。站长之家将尽力确保所提供信息的准确性及可靠性,但不保证有关资料的准确性及可靠性,读者在使用前请进一步核实,并对任何自主决定的行为负责。任何单位或个人认为本页面内容可能涉嫌侵犯其知识产权或存在不实内容时,可及时向站长之家提出书面权利通知或不实情况说明,并提权属证明及详细侵权或不实情况证明(点击查看反馈联系地址)。本网站在收到上述反馈文件后,将会依法依规核实信息,第一时间沟通删除相关内容或断开相关链接。

与“光刻机”的相关热搜词:

相关“光刻机” 的资讯6888篇

  • 3 亿美元能造1.8nm!Intel收到全球第一台高NA EUV极紫外光刻机

    Intel官方宣布,位于俄勒冈州的晶圆厂已经收到ASML发货的全球第一台高NAEUV极紫外光刻机,型号为TwinscanEXE:5000”,它将帮助Intel继续推进摩尔定律。Intel早在2018年就向ASML订购了这种新一代光刻机,将用于计划今年量产的Intel18A制造工艺,也就是1.8nm级别。新光刻机的价格估计至少3亿美元,甚至可能达到或超过4亿美元,也就是逼近人民币30亿元现有低NAEUV光刻机需要2亿美元左右。

  • 7nm、3nm光刻机没有无妨!ASML力挺中国:能把成熟制程做到全球领先

    全球光刻机巨头ASML全球副总裁、中国区总裁沈波接受媒体采访时表示,先进制程的光刻机固然重要,但成熟制程同样不容忽视。ASML是全球光刻机巨头,尤其先进制程光刻机只有ASML可以提供,但ASML的先进制程光刻机入华受到限制。公司看到其他客户的需求时间节点发生了变化,这使ASML能够向中国客户交付更多设备。

  • 谁说不卖机器给中国!ASML:中国已有近1400台ASML光刻机

    快科技11月3日消息,毫无疑问,中国厂商正在疯狂抢购ASML的光刻机,但是你知道有多少了吗?在第六届中国国际进口博览会上,ASML全球副总裁、中国区总裁沈波接受媒体采访时透露,到2023年底,ASML在中国的光刻机加上量测的机台装机量接近1400台。沈波表示,去年ASML全球净销售额达212亿欧元,预计2023财年,公司全球销售额增长30%,将达270余亿欧元。据ASML最新季报,明后年全球半导体行业将企稳。预计到2025年,现有晶圆厂产能将会增加并有新的晶圆厂投入使用,半导体设备行业将会迎来比较大的增长,迎来需求的高潮”。沈波透露,我们现在

  • 台积电之后 韩国芯片工厂也关停光刻机了:仅一半产线运转

    由于半导体市场需求不足,台积电最近被传出将EUV光刻机关停一部分,类似的还有韩国半导体工厂,光刻机等设备也要热停机,开工率只有一半左右。韩国芯片公司DBHitek目前的产能利用率只有73.83%,较去年同期的的97.68%大降23%以上。除了热停机降低成本之外,韩国芯片工厂也跟台积电、联电、世界先进一样降价拉拢客户,代工厂已将8英寸晶圆服务降低了约10%,部分代工服务的价格降幅高达20%。

  • 摩尔定律物理极限 台积电要研发1nm工艺:一台光刻机就要30亿

    随着半导体工艺深入到5nm以下,制造难度与成本与日俱增,摩尔定律的物理极限大约在1nm左右,再往下就要面临严重的量子隧穿难题,导致晶体管失效。各大厂商的先进工艺在实际尺寸上都是有水分的,所以纸面意义上的1nm工艺还是会有的,台积电去年就组建团队研发1.4nm工艺,日前CEO刘德音又表示已经在探索比1.4nm更先进的工艺了。但是下一代EUV光刻机的代价也很高,售价会从目前1.5亿美元提升到4亿美元以上,最终价格可能还要涨,30亿一台设备很考验厂商的成本控制。

  • 荷兰新规所有DUV光刻机都禁止出口?ASML正式回应

    昨天有消息称,ASML旗下所有的DUV光刻机,出口都要被经过认可才可以。对于这样的说法,也是引起了热议,不过ASML给出了回应。光刻机大厂ASML高管对外表示,半导体业只有通力合作,建立完全自主的产业链,即使并非不可能也会极其困难。

  • 售价直逼30亿 ASML下代EUV光刻机年底问世:1nm工艺必备

    在半导体工艺进入7nm节点之后,EUV光刻机是少不了的关键设备,目前只有ASML能制造,单台售价10亿人民币,今年底还会迎来下一代EUV光刻机,价格也会大涨。光刻机的分辨率越高,越有利于制造更小的晶体管分辨率也跟光刻机物镜的NA数值孔径有直接关系,目前的EUV光刻机是NA=0.33技术的,下代EUV光刻机则是提升到NA=0.55。这还不排除未来正式商用的时候价格进一步上涨,毕竟还要好几年才能上市。

  • ASML吓坏?俄罗斯放狠话加快自研光刻机 能产7nm芯片:合作伙伴敲定

    据俄罗斯媒体报道称,为了推进自研光刻机进度,其已经敲定了相关的合作伙伴。俄罗斯方面将为两大白俄罗斯微电子领域项目提供约100亿卢布信贷支持,受资助企业包括集成电路成套工艺领域的Integral和精密光刻设备领域的Planar。

  • ASML部分先进DUV光刻机出口受限:对准精度不高于2.5nm

    今年3月8日,荷兰方面,计划对半导体技术出口实施新的管制,这些管制措施将在今天夏天之前开始实施。3月9日上午,荷兰光刻机巨头阿斯麦发布声明表示,ASML预计必须申请许可证方可出口DUV设备。至于所谓浸没式光刻机,属于193nm光刻机,可以被用于16nm至7nm先进制程芯片的制造,但是目前也有被业界广泛应用在45nm及以下的成熟制程当中。

  • 支持7nm!高端DUV光刻机可出口后 ASML加快订单处理

    ASML上周已经声明,高端DUV光刻机可以出口这也会让他们加快处理相应的订单。所谓浸没式光刻机,属于193nm光刻机,可以被用于16nm至7nm先进制程芯片的制造,但是目前也有被业界广泛应用在45nm及以下的成熟制程当中。对于今年的表现,ASML表示,将会加快对一些订单的处理,特别是高端DUV光刻机系列,以此来保证公司业绩强劲增长。

  • 周鸿祎:打造中国版ChatGPT难度比研发光刻机低很多

    生成式AI对话机器人ChatGPT爆火后,360董事长周鸿祎饶有兴致地分享了不少观点。2月28日,周鸿祎在个人微博更新视频,他提到一个观点,打造中国版ChatGPT难度比研发光刻机低太多。他希望大学、国家实验室、科研机构、科研体系能够和互联网公司、产业公司结合起来,创造一个比较好的产学研合作模式。

  • 摩尔定律不死 Intel将冲击1nm工艺:用上下一代EUV光刻机

    作为摩尔定律的提出者,Intel也是最坚定的摩尔定律捍卫者,多次表示半导体工艺还会继续提升下去,在现有4年掌握5代CPU工艺之后,Intel还启动了未来两代的CPU工艺研发,目标逼近1nm了。Intel的5代CPU工艺分别是Intel7、Intel4、Intel3、Intel20A及Intel18A,其中Intel7在2021年的12代酷睿上首发了,Intel4会在下半年的14代酷睿上首发会首次用上EUV光刻工艺。不过下代EUV光刻机的成本也会大涨,当前售价在1.5亿美元左右,下代价格轻松超过4亿美元。

  • Intel 4/3/20A/18A四种新工艺都来了!EUV光刻机里程碑

    Intel在先进制造工艺上投入颇深,按照官方说法进展也颇为顺利。Intel7已经大量用于消费级的12/13代酷睿、企业级的4代可扩展至强。Intel20A、Intel18A均已经完成了测试芯片的流片,它们将引入RibbonFET环绕栅极晶体管、PowerVia后端供电两大关键新技术。

  • 光刻机关键原料氖气价格暴涨20倍 中企出手后:降下来了

    芯片制造离不开光刻机,数据显示,全球晶圆制造材料中的第一大耗材是硅片,第二大就是电子特气。以光刻为例,需要的电子特气就包括氖气、氩气、氪气、氙气等几种稀有气体。氖气是钢铁行业的副产品,科创板上市华特气体的光刻气产品于2017年通过阿斯麦的产品认证,国内另一家企业凯美特气也在推进阿斯麦的认证。

  • 2022 年度十大科技热词出炉:元宇宙、光刻机在列

    百度沸点联合凤凰网科技发布了“2022年度科技热词”,分别是元宇宙、Web3.0、数字藏品、量子纠缠、数字人、AIGC、数字经济、光刻机、计算生物学、碳中和。凤凰网科技重点评论了“元宇宙”概念:充满无限可能的“元宇宙”跃居年度科技热词榜首,作为一种新兴业态,元宇宙技术不仅将成为下一代生产力工具,也将推动数字化时代逐步进化到“元宇宙时代”。10、碳中和让碳正负抵消,达到相对“零排放”。

  • 台积电3nm来战 Intel 4工艺来了:首台EUV光刻机已开机

    Intel目前的12代、13代酷睿使用的还是Intel7工艺,明年的14代酷睿MeteorLake将首发Intel4工艺,这也是Intel首次使用EUV光刻的工艺,SRAM晶体管规模几乎接近台积电3nm工艺。日前Intel宣布了一个重要里程碑,首台EUV光刻机已经开机并产生13.5nm波长的光。坏消息是14代酷睿可能只有移动版,桌面版处理器在2023年会是RaptorLake-SRefresh,也就是13代酷睿马甲。

  • 华为新专利公布:入局光刻机

    国家知识产权局公布了一项新的华为专利,专利名为“反射镜、光刻装置及其控制方法”,编号是CN115343915A,此项专利与EUV光刻机有关,也引起了众多关注。此前华为自研芯片获得成功,不过高端芯片的量产在美国对华技术封锁的压力下停滞不前,麒麟9000也成为经典不再量产,华为入局光刻机可以说是一个好消息,猜测后续华为会推进处理器的研发和设计。麒麟9000芯片是华为于2020年10月22日20:00发布的基于5nm工艺制程的手机Soc,基于5nm工艺制程打造,集成多达153亿个晶体管,包括一个3.13GHzA77大核心、三个2.54GHzA77中核心、四个2.04GHzA55小核心。

  • ASML做光刻机无敌手 业内仅排第二:真正的半导体设备老大哥在美国

    很多人都知道了ASML、佳能等公司的光刻机。半导体产品显然不是靠一台光刻机就能加工完成,实际上要部署的设备非常多元复杂。应用材料是全球最大的半导体设备商,半导体业务几乎可贯穿整个半导体工艺制程,包含薄膜沉积、离子注入、刻蚀、快速热处理、化学机械平整、测量检测等设备。

  • CINNO发布Q3全球半导体设备制造商营收排行:光刻机巨头阿斯麦(ASML)排名第二位

    CINNO Research日前发布了2022年第三季度全球上市公司半导体设备制造商业务营收排名,其中排名前十位企业营收合计达275亿美元,约合人民币1983亿元,同比增长8.6%,环比增长14.9%。排名第一的是美国应用材料公司,2022年第三季度营收近64亿美元,约合人民币461.亿元;排名第二位的是荷兰光刻机巨头阿斯麦公司;排名第三位的是美国泛林公司;排名第四位的是日本东电电子公司。CINNO Research表示,这前四大公司的半导体业务2022年前三季度的营收合计均已超过125亿美元约合人民币901亿元,并且2022年第三季度单季营收均为今年最高季度营收。

  • 单价翻番到26亿!ASML:所有EUV客户均订购了下一代高NA极紫外光刻机

    ASML(荷兰阿斯麦)正抓紧研制其下一代高NA(0.55数值孔径)的EUV极紫外光刻机,在发布最新财报期间,AMSL透露,其存量EUV客户均订购了新一代设备...韩国设备商透露,现款EUV光刻机的订货价是2000~3000亿韩元(约合10~16亿元人民币),而高NAEUV光刻机的报价翻番到了5000亿韩元(约合26亿元)...在三季度财报中,ASML完成58亿欧元的净销售额,毛利率51.8%、净利润17亿欧元,公司预计四季度净销售额在61~66亿欧元之间......

  • ASML发布Q3财报 EUV光刻机狂卖268亿元

    荷兰ASML公司今天发布了Q3季度财报,净营收同比增长10%至58亿欧元,超出此前预期的53.9亿欧元;净利润17.01亿欧元,同比下降了2.24%,但表现也超出了预期的14.2亿欧元...根据ASML的数据,Q3季度中该公司出货了12台EUV光刻机,与上一年度持平,不过他们在本季度依然获得了89亿欧元的新订单,其中EUV光刻机就占了38亿欧元,折合人民币268亿元......

  • 台积电称2纳米生产将在2025年开始 先进高NA光刻机将于2024年到货

    涵盖先进的7纳米和更小产品的制造技术需要使用极紫外光刻机(EUV)在小范围内打印数十亿个微小电路,全球目前只有台积电、三星和英特尔公司在使用--芯片制造技术的进一步进步,涉及到电路尺寸的进一步缩小,将使芯片制造商难以继续使用这些机器...这些被称为高NA(数字孔径),台积电将在2024年收到它们...这些活动显示,截至该公司的目标是使其新技术比目前最新的3纳米技术提高10%至15%的性能;新技术还能降低25%至30%的功耗......

  • 价值10亿一台 消息称Intel已有12台EUV光刻机:14代酷睿首发4nm EUV工艺

    EUV光刻机是目前半导体生产中最先进也是最复杂的装备,售价约合10亿一台,只有荷兰ASML公司能够生产,虽然客户也只有Intel、台积电、三星这三家,但现在还是供不应求,去年生产了55台,预计2025年产能提升到每年90台...虽然10-12台EUV光刻机听着不多,但是实际的产能不小,EUV光刻机每小时处理的晶圆数在160-220个左右,平均200个来算,一年中24小时不停生产就有170万晶圆,足够生产数千万芯片,10台以上的总量足够Intel生产未来的处理器了......

  • 一台几十亿!台积电、Intel、三星疯抢ASML EUV光刻机

    台积电今日(6月17日)在北美技术论坛上公布了新的制程路线图,定于2025年量产2nm工艺,其采用Nanosheet(纳米片电晶体)的微观结构,取代FinFET...台积电还雄心勃勃地提出,要在2025年前将成熟和专业化制程的产能提高50%,包括兴建更多的晶圆厂...台积电表示,计划在2024年引入ASML的新一代EUV极紫外光刻机...这款光刻机价值高达4亿美元(约合26亿元人民币),双层巴士大、重超200吨......

  • 台积电:将于2024年引进下一代ASML High-NA EUV光刻机

    据路透社报道,台积电研发资深副总经理Y.J. Mii在台积电技术论坛上表示,公司将在 2024 年引进ASML高数值孔径极紫外光(high-NA EUV)光刻机...台积电研发高级副总裁Y.J. Mii在台积电硅谷技术研讨会上表示:「展望未来,台积电将在 2024 年引入 High-NA EUV光刻机,以开发客户所需的相关基础设施和图案化解决方案,并推动创新......

  • 三星和光刻机巨头ASML碰面 事关芯片供应

    ASML的EUV机器是先进芯片制造的关键,每台成本高达1.6亿美元(约10.73亿元人民币),产量有限给三星、台积电和英特尔等芯片制造商造成了瓶颈,这些公司计划在未来几年投资超过1000亿美元(约6713亿人民币)建设半导体工厂...元大证券分析师Lee Jae-yun在此前的评论中表示,三星2022年预计将从阿斯麦(ASML)获得18台EUV机器,高于去年估计的15台和2020年的8台......

  • 三星掌门人拜访荷兰首相,商请协调EUV光刻机供货问题

    中关村在线消息:有媒体报道三星掌门人李在镕与荷兰首相马克・吕特进行了会晤,除了讨论半导体行业、供应链相关问题之外,还提到希望首相能帮助协调ASML提供EUV光刻机供货问题...ASML的CEO Peter Wennink表示,ASML交付的每一台光刻机都是按照客户需求个性化定制、手工打造的,直到出货为止需要大量时间的调校、打磨,至少在未来几年之内ASML都无法顺利交付所有订单,除非将产能提升50%以上...

  • 三星李在镕本周有望到访阿斯麦:抢购EUV光刻机

    据外媒消息,三星电子副会长李在镕有望在本周到访荷兰光刻机制造商阿斯麦(ASML),媒体认为他此行是为了抢购EUV光刻机。据悉,李在镕的荷兰商务旅行将从6月7日开始,一直持续到6月18日。三星此前宣布将在5年内向半导体和生物制药领域投资3600亿美元(约合23977亿元人民币),将尽早进口EUV光刻机,使三星在制造最先进的微芯片方面占据优势。据媒体报道,三星对其芯片代工业务的高层进行了人员调整,显示业务部门37岁以下的员工,可以申请调整到芯片封装业务部门,此次部门调整也是为了加强自身芯片制造实力。此外,三星李在镕与Intel CEO

  • ASML分享High-NA EUV光刻机新进展 目标2024-2025年进厂

    当前市面上最先进的芯片,已经用上了 5 / 4 nm 的制造工艺...新款高 NA 扫描仪仍在开发之中,预计其结构将异常复杂、庞大、且昂贵 —— 每台成本将超过 4 亿美元...不过英特尔又将 18A 的上手时间推迟到了 2024 下半年,并表示可借助 ASML 的 Twinscan NXE:3600D 或 NXE:3800E 系统实现 18A 制造(大概率是利用多重曝光来实现)......

  • 英特尔爱尔兰Fab 34芯片制造工厂迎来首台EUV光刻机

    上周,芯片巨头英特尔在位于爱尔兰 Leixlip 的 Fab 34 工厂,完成了首台极紫外(EUV)光刻机的安装...目前尚不清楚英特尔为何要让这台机器绕地球这么一大圈,一种猜测是英特尔在俄勒冈州进行了早期检测、以确保光刻机符合该公司的严格要求...此外预计这台机器是安装在爱尔兰 Fab 34 工厂中的第一台 EUV 光刻机,并作为英特尔 7nm(Intel 4)工艺技术的关键推动者...