首页 > 关键词 > EUV光刻胶最新资讯
EUV光刻胶

EUV光刻胶

4月3日7时58分,中国台湾花莲县海域发生7.3级地震,后续记录到上百起余震。4月3日晚,台积电对中国台湾发生的地震所造成影响做出最新评估。有网友表示:越先进的工艺,受影响越大”估计损失可能要好几亿美元了,机台上的晶圆都废了”。...

特别声明:本页面标签名称与页面内容,系网站系统为资讯内容分类自动生成,仅提供资讯内容索引使用,旨在方便用户索引相关资讯报道。如标签名称涉及商标信息,请访问商标品牌官方了解详情,请勿以本站标签页面内容为参考信息,本站与可能出现的商标名称信息不存在任何关联关系,对本页面内容所引致的错误、不确或遗漏,概不负任何法律责任。站长之家将尽力确保所提供信息的准确性及可靠性,但不保证有关资料的准确性及可靠性,读者在使用前请进一步核实,并对任何自主决定的行为负责。任何单位或个人认为本页面内容可能涉嫌侵犯其知识产权或存在不实内容时,可及时向站长之家提出书面权利通知或不实情况说明,并提权属证明及详细侵权或不实情况证明(点击查看反馈联系地址)。本网站在收到上述反馈文件后,将会依法依规核实信息,第一时间沟通删除相关内容或断开相关链接。

与“EUV光刻胶”的相关热搜词:

相关“EUV光刻胶” 的资讯45篇

  • 中国台湾花莲县7.3级地震 台积电:EUV光刻机等设备无受损

    4月3日7时58分,中国台湾花莲县海域发生7.3级地震,后续记录到上百起余震。4月3日晚,台积电对中国台湾发生的地震所造成影响做出最新评估。有网友表示:越先进的工艺,受影响越大”估计损失可能要好几亿美元了,机台上的晶圆都废了”。

  • EUV光刻前的最后疯狂:DDR5内存狂飙 单条1TB不是梦

    随着制程工艺的进步,DRAM内存芯片也面临着CPU/GPU一样的微缩难题,解决办法就是上EUV光刻机,但是设备实在太贵,现在还要榨干DUV工艺最后一滴,DDR5内存有望实现单条1TB。作为第一家推出24Gb核心DDR5的内存公司,美光日前又创造了一个新纪录推出了32Gb核心的DDR5内存颗粒,使用的是比前者1工艺更先进的1工艺,这也是美光最后的非EUV工艺了,再往后不想上EUV也没招了。显存GDDR路线上,2025年会推出GDDR7,频率32Gbps,核心容量16-24Gb,带宽128GB/s以上。

  • 售价直逼30亿 ASML下代EUV光刻机年底问世:1nm工艺必备

    在半导体工艺进入7nm节点之后,EUV光刻机是少不了的关键设备,目前只有ASML能制造,单台售价10亿人民币,今年底还会迎来下一代EUV光刻机,价格也会大涨。光刻机的分辨率越高,越有利于制造更小的晶体管分辨率也跟光刻机物镜的NA数值孔径有直接关系,目前的EUV光刻机是NA=0.33技术的,下代EUV光刻机则是提升到NA=0.55。这还不排除未来正式商用的时候价格进一步上涨,毕竟还要好几年才能上市。

  • 摩尔定律不死 Intel将冲击1nm工艺:用上下一代EUV光刻机

    作为摩尔定律的提出者,Intel也是最坚定的摩尔定律捍卫者,多次表示半导体工艺还会继续提升下去,在现有4年掌握5代CPU工艺之后,Intel还启动了未来两代的CPU工艺研发,目标逼近1nm了。Intel的5代CPU工艺分别是Intel7、Intel4、Intel3、Intel20A及Intel18A,其中Intel7在2021年的12代酷睿上首发了,Intel4会在下半年的14代酷睿上首发会首次用上EUV光刻工艺。不过下代EUV光刻机的成本也会大涨,当前售价在1.5亿美元左右,下代价格轻松超过4亿美元。

  • Intel 4/3/20A/18A四种新工艺都来了!EUV光刻机里程碑

    Intel在先进制造工艺上投入颇深,按照官方说法进展也颇为顺利。Intel7已经大量用于消费级的12/13代酷睿、企业级的4代可扩展至强。Intel20A、Intel18A均已经完成了测试芯片的流片,它们将引入RibbonFET环绕栅极晶体管、PowerVia后端供电两大关键新技术。

  • 台积电3nm来战 Intel 4工艺来了:首台EUV光刻机已开机

    Intel目前的12代、13代酷睿使用的还是Intel7工艺,明年的14代酷睿MeteorLake将首发Intel4工艺,这也是Intel首次使用EUV光刻的工艺,SRAM晶体管规模几乎接近台积电3nm工艺。日前Intel宣布了一个重要里程碑,首台EUV光刻机已经开机并产生13.5nm波长的光。坏消息是14代酷睿可能只有移动版,桌面版处理器在2023年会是RaptorLake-SRefresh,也就是13代酷睿马甲。

  • 台积电的“金字招牌”5nm/7nm不灵了!EUV光刻被迫停机

    下半年以来的半导体行业调整,似乎并没有给台积电造成比较大的影响,其1~11月的累计收入同比增加了44.6%。DT分析师预计,因为明年上半年需求订单萎缩,一季度台积电的收入将遭遇10~15%的环比下滑。台积电三季度财报显示,5nm连同7nm是公司前两大销售业务来源,合计贡献了超过50%的营收。

  • 华为公布有关EUV光刻技术的新专利

    在集成电路制造中,光刻覆盖了微纳图形的转移、加工和形成环节,决定着集成电路晶圆上电路的特征尺寸和芯片内晶体管的数量,是集成电路制造的关键技术之一。随着半导体工艺向7nm及以下节点的推进,极紫外光刻成为首选的光刻技术。该专利申请提供一种反射镜、光刻装置及其控制方法,涉及光学领域,能够解决相干光因形成固定的干涉图样无法匀光的问题,在极紫外光的光刻装置基础上进行了优化,进达到匀光的目的,进解决了相关技术中因相干光形成固定的干涉图样无法匀光的问题。

  • ASML发布Q3财报 EUV光刻机狂卖268亿元

    荷兰ASML公司今天发布了Q3季度财报,净营收同比增长10%至58亿欧元,超出此前预期的53.9亿欧元;净利润17.01亿欧元,同比下降了2.24%,但表现也超出了预期的14.2亿欧元...根据ASML的数据,Q3季度中该公司出货了12台EUV光刻机,与上一年度持平,不过他们在本季度依然获得了89亿欧元的新订单,其中EUV光刻机就占了38亿欧元,折合人民币268亿元......

  • 价值10亿一台 消息称Intel已有12台EUV光刻机:14代酷睿首发4nm EUV工艺

    EUV光刻机是目前半导体生产中最先进也是最复杂的装备,售价约合10亿一台,只有荷兰ASML公司能够生产,虽然客户也只有Intel、台积电、三星这三家,但现在还是供不应求,去年生产了55台,预计2025年产能提升到每年90台...虽然10-12台EUV光刻机听着不多,但是实际的产能不小,EUV光刻机每小时处理的晶圆数在160-220个左右,平均200个来算,一年中24小时不停生产就有170万晶圆,足够生产数千万芯片,10台以上的总量足够Intel生产未来的处理器了......

  • 一台几十亿!台积电、Intel、三星疯抢ASML EUV光刻机

    台积电今日(6月17日)在北美技术论坛上公布了新的制程路线图,定于2025年量产2nm工艺,其采用Nanosheet(纳米片电晶体)的微观结构,取代FinFET...台积电还雄心勃勃地提出,要在2025年前将成熟和专业化制程的产能提高50%,包括兴建更多的晶圆厂...台积电表示,计划在2024年引入ASML的新一代EUV极紫外光刻机...这款光刻机价值高达4亿美元(约合26亿元人民币),双层巴士大、重超200吨......

  • 台积电:将于2024年引进下一代ASML High-NA EUV光刻机

    据路透社报道,台积电研发资深副总经理Y.J. Mii在台积电技术论坛上表示,公司将在 2024 年引进ASML高数值孔径极紫外光(high-NA EUV)光刻机...台积电研发高级副总裁Y.J. Mii在台积电硅谷技术研讨会上表示:「展望未来,台积电将在 2024 年引入 High-NA EUV光刻机,以开发客户所需的相关基础设施和图案化解决方案,并推动创新......

  • 三星掌门人拜访荷兰首相,商请协调EUV光刻机供货问题

    中关村在线消息:有媒体报道三星掌门人李在镕与荷兰首相马克・吕特进行了会晤,除了讨论半导体行业、供应链相关问题之外,还提到希望首相能帮助协调ASML提供EUV光刻机供货问题...ASML的CEO Peter Wennink表示,ASML交付的每一台光刻机都是按照客户需求个性化定制、手工打造的,直到出货为止需要大量时间的调校、打磨,至少在未来几年之内ASML都无法顺利交付所有订单,除非将产能提升50%以上...

  • 三星李在镕本周有望到访阿斯麦:抢购EUV光刻机

    据外媒消息,三星电子副会长李在镕有望在本周到访荷兰光刻机制造商阿斯麦(ASML),媒体认为他此行是为了抢购EUV光刻机。据悉,李在镕的荷兰商务旅行将从6月7日开始,一直持续到6月18日。三星此前宣布将在5年内向半导体和生物制药领域投资3600亿美元(约合23977亿元人民币),将尽早进口EUV光刻机,使三星在制造最先进的微芯片方面占据优势。据媒体报道,三星对其芯片代工业务的高层进行了人员调整,显示业务部门37岁以下的员工,可以申请调整到芯片封装业务部门,此次部门调整也是为了加强自身芯片制造实力。此外,三星李在镕与Intel CEO

  • ASML分享High-NA EUV光刻机新进展 目标2024-2025年进厂

    当前市面上最先进的芯片,已经用上了 5 / 4 nm 的制造工艺...新款高 NA 扫描仪仍在开发之中,预计其结构将异常复杂、庞大、且昂贵 —— 每台成本将超过 4 亿美元...不过英特尔又将 18A 的上手时间推迟到了 2024 下半年,并表示可借助 ASML 的 Twinscan NXE:3600D 或 NXE:3800E 系统实现 18A 制造(大概率是利用多重曝光来实现)......

  • 英特尔爱尔兰Fab 34芯片制造工厂迎来首台EUV光刻机

    上周,芯片巨头英特尔在位于爱尔兰 Leixlip 的 Fab 34 工厂,完成了首台极紫外(EUV)光刻机的安装...目前尚不清楚英特尔为何要让这台机器绕地球这么一大圈,一种猜测是英特尔在俄勒冈州进行了早期检测、以确保光刻机符合该公司的严格要求...此外预计这台机器是安装在爱尔兰 Fab 34 工厂中的第一台 EUV 光刻机,并作为英特尔 7nm(Intel 4)工艺技术的关键推动者...

  • Intel宣布先进CPU工艺路线图:EUV光刻今年量产 8年集成1万亿晶体管

    在过去的2021年,Intel最重要的一步当然是量产了Intel 7(之前的10nm SF工艺)工艺,以此为基础推出了12代酷睿Alder Lake,今年的13代酷睿Raptor Lake也会继续使用Intel 7工艺,提高产能、优化性能是重点,13代酷睿这次又增加了8个效能核,总计24核32线程......

  • 阿斯麦柏林工厂火灾波及EUV光刻机一部件生产区域 尚未恢复

    阿斯麦在官网上表示,上周一的火灾,发生在柏林工厂的一栋建筑中,火灾中的烟雾,部分影响到了相邻的建筑,受影响建筑的部分生产已能恢复,其他未受影响的建筑,已全面运营...火灾影响的损坏评估仍在进行中,但阿斯麦方面表示,从目前的情况来看,他们计量和检测产品的产出计划将不会受到影响,因为没有相关的部件在柏林工厂生产...虽然火灾未影响到计量和检测产品部件的生产,但阿斯麦位于柏林的工厂,生产DUV和EUV光刻机的部分部件,这两类光刻机部分部件的生产,在火灾中都受到了影响...柏林工厂受火灾影响仍未恢复的,是EUV光刻机一个零部件的生产区域,恢复计划仍在进行中,他们已决定采取相关的措施,将对EUV光刻机客户、产出计划及服务的潜在影响降到最低...

  • 工厂突发火灾 ASML确认EUV光刻机生产受影响、DUV没事

    全球半导体产能紧张持续一年了,芯片扩产需要包括光刻机在内的重要设备,结果前几天全球最大光刻机巨头ASML在德国的工厂遭遇火灾,官方表示还要几天才能评估损失,但是现在可以确定主要是EUV光刻机生产会受影响。ASML旗下的这家公司位于德国柏林,前身是Berliner Glas,ASML公司2020年才收购了这家公司,它们的营收额并不高,但生产的是光刻机中的一种重要部件,可以用于光刻机及晶圆之间的接口,需要有纳米级别的操作精度,可以说

  • Intel确认EUV光刻工艺的处理器2023年出货:酷睿、至强都有

    与台积电、三星相比,Intel这几年在半导体工艺上放慢了脚步,这里面原因有很多,不过一个重要因素就是Intel一直认为EUV光刻工艺不成熟,他们追求的是在没有EUV光刻机的情况下开发7nm甚至5nm工艺,结果耽误了时间。面对对手在EUV光刻上的领先,Intel现在也加快脚步了,原先叫做7nm的节点现在改名为Intel 4,这是Intel第一个使用EUV光刻机的工艺。日前在接受媒体采访时,Intel逻辑工艺开发总经理Sanjay Natarajan确认,Intel已经确认

  • ASML介绍新一代高NA EUV光刻机:芯片缩小1.7倍、密度增加2.9倍

    按照业内预判,2025年前后半导体在微缩层面将进入埃米尺度(,angstrom,1埃 = 0.1纳米),其中2025对应A14(14=1.4纳米)。除了新晶体管结构、2D材料,还有很关键的一环就是High NA(高数值孔径)EUV光刻机。根据ASML(阿斯麦)透露的最新信息,第一台原型试做机2023年开放,预计由imec(比利时微电子研究中心)装机,2025年后量产,第一台预计交付Intel。Gartner分析师Alan Priestley称,0.55NA下一代EUV光刻机单价将翻番到3亿美

  • 3亿美元单价翻倍!ASML下一代EUV光刻机提前量产:Intel抢首发

    在上月的ITF大会上,半导体行业大脑imec(比利时微电子研究中心)公布的蓝图显示,2025年后晶体管进入埃米尺度(,angstrom,1埃 = 0.1纳米),其中2025对应A14(14=1.4纳米),2027年为A10(10=1nm)、2029年为A7(7=0.7纳米)。当时imec就表示,除了新晶体管结构、2D材料,还有很关键的一环就是High NA(高数值孔径)EUV光刻机。其透露,0.55NA的下代EUV光刻机一号试做机(EXE:5000)会在2023年由ASML提供给imec,2026年量产。?

  • 每小时曝光160片晶圆!ASML新款EUV光刻机创记录:卖疯了

    今日晚间,ASML发布2021年第三季度财报,EUV光刻机的出货量和营收都刷新纪录。财报显示,ASML 2021年第三季度净销售额为52亿欧元,净利润为17亿欧元,毛利率达到51.7%,新增订单金额62亿欧元。ASML 预计2021年第四季度营收约为49亿~52亿欧元,毛利率约51%~52%。产品和业务摘要 :EUV(极紫外光)光刻业务:本季 EUV系统的出货量和营收都刷新纪录。最新款的NXE:3600D EUV 光刻系统在客户的生产线上创下了每小时曝光160片晶圆的记录。D

  • ASML三季度业绩激增:EUV光刻机出货量刷新纪录

    10月20日晚间消息,ASML(荷兰阿斯麦)发布了2021年三季度财报。数据层面,净销售额52亿欧元(约合386亿元),毛利率51.7%,净利润17亿欧元(约合126亿元)。官方预计四季度销售额49亿到52亿欧元,毛利率在51%到52%。环比之下,净销售额增加67.6%、净利润增加30.4%。ASML称,三季度,EUV光刻机系统的订单量达到了29亿欧元,且当季的出货量和收入创下历史新高。目前,ASML主力EUV光刻机是TWINSCAN NXE:3600D,其在客户那里也达到了?

  • 包揽全球一半EUV光刻机 台积电A15、Zen4产能大增

    作为全球最大的晶圆代工厂,台积电在先进工艺及产能两方面都是NO.1优势,所以不愁订单,仅仅是EUV光刻机就拿下全球50%的份额,随着苹果A15、M1X、M2以及AMD Zen4芯片陆续量产,EUV产能也要爆发了。台积电从7nm开始使用EUV工艺,不过7nm EUV工艺产能较低,只有华为麒麟990大规模使用了,苹果A13还是7nm增强版工艺。从5nm工艺开始,台积电先进工艺全线使用EUV工艺,而且利用程度加深,明年还有3nm工艺,会进一步利用EUV光刻。由于EUV

  • ASML拒绝新一代EUV光刻机跳票:找到日本巨头技术驰援

    日前传出ASML新一代光刻机EXE:5000跳票到2025年之后的消息,但似乎荷兰人已经找到帮手帮自己提速。日本最大半导体成膜、蚀刻设备公司东京电子(东京威力科创,Tokyo Electron)宣布,将在镀膜/显影技术上与ASML合作,以联合推进其下一代NA EUV光刻机的研制,确保2023年投入运行。据悉,参与该EUV光刻机研发的还有IMEC,即位于比利时的欧洲微电子中心。东京电子表示,自旋金属抗蚀剂已显示出高分辨率和高蚀刻电阻,并有望使图案更加

  • AMD的Zen3处理器小惊喜曝光:终于上EUV光刻工艺了?

    AMD的锐龙5000系列处理器下月初就要上市了,全新的Zen3架构IPC性能大涨,不过工艺上面依然是7nm级别的,官方称之为更成熟的7nm工艺。最早的传闻中,AMD的Zen3工艺说是要上7nm EUV工艺的,这是

    AMD
  • 台积电越来越依赖ASML的EUV光刻机:3nm需要20层

    台积电是第一家将EUV(极紫外)光刻工艺商用到晶圆代工的企业,目前投产的工艺包括N7+、N6和N5三代。其中N7+即第二代7nm,EUV总计4层。即便如此,这也相较于多重曝光也节省了时间,提高了芯片

  • 为争取EUV光刻机供货:三星掌门人李在镕亲赴ASML荷兰总部游说

    据韩媒报道,三星电子副董事长李在镕在本周三(10月14日)亲自飞赴ASML(阿斯麦)荷兰总部会晤。陪同李在镕的是三星芯片业务负责人Kim Ki-nam,ASML方面CEO Peter Wennink和CTO Martin Van de

  • 台积电明年底前将累计采购55台EUV光刻机:花费超440亿元

    芯片制程已经推进到了7nm以下,这其中最关键的核心设备就要数EUV光刻机了,目前全世界只有荷兰ASML(阿斯麦)可以制造。来自Digitimes的报道称,台积电打算在2021年底前购置55台EUV光刻机,以

热文