首页 > 关键词 > EUV最新资讯
EUV

EUV

4月3日7时58分,中国台湾花莲县海域发生7.3级地震,后续记录到上百起余震。4月3日晚,台积电对中国台湾发生的地震所造成影响做出最新评估。有网友表示:越先进的工艺,受影响越大”估计损失可能要好几亿美元了,机台上的晶圆都废了”。...

特别声明:本页面标签名称与页面内容,系网站系统为资讯内容分类自动生成,仅提供资讯内容索引使用,旨在方便用户索引相关资讯报道。如标签名称涉及商标信息,请访问商标品牌官方了解详情,请勿以本站标签页面内容为参考信息,本站与可能出现的商标名称信息不存在任何关联关系,对本页面内容所引致的错误、不确或遗漏,概不负任何法律责任。站长之家将尽力确保所提供信息的准确性及可靠性,但不保证有关资料的准确性及可靠性,读者在使用前请进一步核实,并对任何自主决定的行为负责。任何单位或个人认为本页面内容可能涉嫌侵犯其知识产权或存在不实内容时,可及时向站长之家提出书面权利通知或不实情况说明,并提权属证明及详细侵权或不实情况证明(点击查看反馈联系地址)。本网站在收到上述反馈文件后,将会依法依规核实信息,第一时间沟通删除相关内容或断开相关链接。

与“EUV”的相关热搜词:

相关“EUV” 的资讯490篇

  • 中国台湾花莲县7.3级地震 台积电:EUV光刻机等设备无受损

    4月3日7时58分,中国台湾花莲县海域发生7.3级地震,后续记录到上百起余震。4月3日晚,台积电对中国台湾发生的地震所造成影响做出最新评估。有网友表示:越先进的工艺,受影响越大”估计损失可能要好几亿美元了,机台上的晶圆都废了”。

  • 3 亿美元能造1.8nm!Intel收到全球第一台高NA EUV极紫外光刻机

    Intel官方宣布,位于俄勒冈州的晶圆厂已经收到ASML发货的全球第一台高NAEUV极紫外光刻机,型号为TwinscanEXE:5000”,它将帮助Intel继续推进摩尔定律。Intel早在2018年就向ASML订购了这种新一代光刻机,将用于计划今年量产的Intel18A制造工艺,也就是1.8nm级别。新光刻机的价格估计至少3亿美元,甚至可能达到或超过4亿美元,也就是逼近人民币30亿元现有低NAEUV光刻机需要2亿美元左右。

  • 佳能押注“纳米压印”芯片生产设备:比ASML EUV售价少一位数

    近日佳能CEO御手洗富士夫在接受受访时表示,该公司基于NIL的新型芯片制造设备售价将比ASML的EUV少一位数。极紫外光刻机的唯一供应商就是ASML,EUV对于大规模生产速度快、能耗低的芯片至关重要,但每台机器的售价高达数亿美元,只有少数公司才有能力购买。”同时其还表示,最终的定价目前还没有敲定,但希望这是一个完全的惊喜。

  • PC即将满血复活 Intel下半年发布酷睿Ultra处理器:EUV正式量产

    Intel今天发布了Q2季度财报,129亿美元营收及15亿美元净利润都超出预期成功扭亏为盈,带动Intel股价大涨7%。可以说Intel业绩最坏的日子就要过去了,尤其是PC业务,此前由于需求下滑,CCG客户端部门面临着库存压力,现在情况不一样了。Intel表示,通过AIBoost单元,集成的神经网络视觉计算模块VPU将为AI工作负载提供专用的低功耗计算,Intel将通过人们期望和需要的混合工作、生产力、感知、安全和创作方面的关键体验,让AI应用走进生活。

  • EUV光刻前的最后疯狂:DDR5内存狂飙 单条1TB不是梦

    随着制程工艺的进步,DRAM内存芯片也面临着CPU/GPU一样的微缩难题,解决办法就是上EUV光刻机,但是设备实在太贵,现在还要榨干DUV工艺最后一滴,DDR5内存有望实现单条1TB。作为第一家推出24Gb核心DDR5的内存公司,美光日前又创造了一个新纪录推出了32Gb核心的DDR5内存颗粒,使用的是比前者1工艺更先进的1工艺,这也是美光最后的非EUV工艺了,再往后不想上EUV也没招了。显存GDDR路线上,2025年会推出GDDR7,频率32Gbps,核心容量16-24Gb,带宽128GB/s以上。

  • 售价直逼30亿 ASML下代EUV光刻机年底问世:1nm工艺必备

    在半导体工艺进入7nm节点之后,EUV光刻机是少不了的关键设备,目前只有ASML能制造,单台售价10亿人民币,今年底还会迎来下一代EUV光刻机,价格也会大涨。光刻机的分辨率越高,越有利于制造更小的晶体管分辨率也跟光刻机物镜的NA数值孔径有直接关系,目前的EUV光刻机是NA=0.33技术的,下代EUV光刻机则是提升到NA=0.55。这还不排除未来正式商用的时候价格进一步上涨,毕竟还要好几年才能上市。

  • EUV也救不了命 内存快到头了:明年迎来全新3D DRAM

    如今的内存市场上,不仅面临着价格下滑的压力,同时技术发展也遇到了瓶颈,在20nm节点之后发展速度已经慢下来了,三星在14nm节点就用上了EUV光刻工艺,寻求进一步微缩。然EUV光刻成本高昂不说,也没法彻底改变内存芯片的技术难题,三星已经做到了12nm工艺,再往后的内存工艺很难说,核心原因还是传统的2DDRAM内存技术快到极限了,就跟CPU逻辑工艺情况类似。不过3DDRAM内�

  • 追赶台积电!三星推动开发高端EUV薄膜

    光罩薄膜是极紫外光刻工艺中的关键部件,指的是在光罩上展开一层薄膜,然后机器在上面绘制要在晶圆上压印的电路,以免光罩受空气中微尘或挥发性气体的污染,减少光掩模的损坏。光罩薄膜的供应商主要是荷兰ASML、日本三井化学和韩国SSTech,韩国的EUV薄膜一直被外部厂商垄断。有业内人士表示,三星推动EUV薄膜的开发,是为了更快的追赶上台积电。

  • 摩尔定律不死 Intel将冲击1nm工艺:用上下一代EUV光刻机

    作为摩尔定律的提出者,Intel也是最坚定的摩尔定律捍卫者,多次表示半导体工艺还会继续提升下去,在现有4年掌握5代CPU工艺之后,Intel还启动了未来两代的CPU工艺研发,目标逼近1nm了。Intel的5代CPU工艺分别是Intel7、Intel4、Intel3、Intel20A及Intel18A,其中Intel7在2021年的12代酷睿上首发了,Intel4会在下半年的14代酷睿上首发会首次用上EUV光刻工艺。不过下代EUV光刻机的成本也会大涨,当前售价在1.5亿美元左右,下代价格轻松超过4亿美元。

  • Intel 4/3/20A/18A四种新工艺都来了!EUV光刻机里程碑

    Intel在先进制造工艺上投入颇深,按照官方说法进展也颇为顺利。Intel7已经大量用于消费级的12/13代酷睿、企业级的4代可扩展至强。Intel20A、Intel18A均已经完成了测试芯片的流片,它们将引入RibbonFET环绕栅极晶体管、PowerVia后端供电两大关键新技术。

  • 台积电3nm来战 Intel 4工艺来了:首台EUV光刻机已开机

    Intel目前的12代、13代酷睿使用的还是Intel7工艺,明年的14代酷睿MeteorLake将首发Intel4工艺,这也是Intel首次使用EUV光刻的工艺,SRAM晶体管规模几乎接近台积电3nm工艺。日前Intel宣布了一个重要里程碑,首台EUV光刻机已经开机并产生13.5nm波长的光。坏消息是14代酷睿可能只有移动版,桌面版处理器在2023年会是RaptorLake-SRefresh,也就是13代酷睿马甲。

  • 台积电的“金字招牌”5nm/7nm不灵了!EUV光刻被迫停机

    下半年以来的半导体行业调整,似乎并没有给台积电造成比较大的影响,其1~11月的累计收入同比增加了44.6%。DT分析师预计,因为明年上半年需求订单萎缩,一季度台积电的收入将遭遇10~15%的环比下滑。台积电三季度财报显示,5nm连同7nm是公司前两大销售业务来源,合计贡献了超过50%的营收。

  • 华为公布有关EUV光刻技术的新专利

    在集成电路制造中,光刻覆盖了微纳图形的转移、加工和形成环节,决定着集成电路晶圆上电路的特征尺寸和芯片内晶体管的数量,是集成电路制造的关键技术之一。随着半导体工艺向7nm及以下节点的推进,极紫外光刻成为首选的光刻技术。该专利申请提供一种反射镜、光刻装置及其控制方法,涉及光学领域,能够解决相干光因形成固定的干涉图样无法匀光的问题,在极紫外光的光刻装置基础上进行了优化,进达到匀光的目的,进解决了相关技术中因相干光形成固定的干涉图样无法匀光的问题。

  • “4nm、3nm”EUV工艺来了 Intel最先进晶圆厂准备就绪

    随着13代酷睿的上市,Intel的处理器工艺已经切换到了Intel7节点上,这是Intel4年掌握5代CPU工艺中的起点,接下来的还有重头戏,不过生产基地会转向海外,由位于欧洲爱尔兰的Fab34晶圆厂接任。IntelCEO基辛格日前在网上透露他上周去了Fab34工厂访问,扩建后的工厂面积翻了一倍,具备更高的产能这里的团队会交付Intel最新的工艺,也就是Intel4、Intel3工艺,等效友商的4nm、3nm节点。15代酷睿ArrowLake的CPU模块预计也会使用Intel3工艺生产,不过这一代变数也很大,很多不确定消息。

  • Intel也玩弯道超车:EUV工艺生产量子芯片 最快10年商用

    按照摩尔定律的发展,传统的硅基芯片预计还有10年左右就要到极限了,1nm以下的工艺制造起来极为困难,业界也在研发各种新型芯片,比如光子芯片、量子计算、碳纳米管之类的。在量子计算上,谷歌、IBM、微软等公司风头很劲,前不久IBM还宣布研发出了433个量子比特的量子芯片,是2021年127位量子比特的三倍水平。Inte的目标是实现100万个以上的量子比特计算,这个过程需要10年到15年时间,届时才能真正商业化量子计算他们认为量子计算会跟经典计算机并存,实现两者的混合计算。

  • Intel首代EUV工艺来了!14代酷睿年底流片:5芯合1

    在EUV光刻工艺上,Intel此前承认他们过去翻错了,让台积电、三星抢先了,毕竟Intel是最早研发EUV工艺的半导体公司之一,现在Intel可以追上来了,今年底就首次使用EUV的Intel 4工艺就会规模量产...Intel 4就是之前的Intel 7nm工艺,也是Intel首次使用EUV光刻机,高性能库的晶体管密度可达1.6亿晶体管/mm2,是目前Intel 7的2倍,高于台积电的5nm工艺的1.3亿晶体管/mm2,接近台积电3nm的2.08亿晶体管/mm2......

  • 单价翻番到26亿!ASML:所有EUV客户均订购了下一代高NA极紫外光刻机

    ASML(荷兰阿斯麦)正抓紧研制其下一代高NA(0.55数值孔径)的EUV极紫外光刻机,在发布最新财报期间,AMSL透露,其存量EUV客户均订购了新一代设备...韩国设备商透露,现款EUV光刻机的订货价是2000~3000亿韩元(约合10~16亿元人民币),而高NAEUV光刻机的报价翻番到了5000亿韩元(约合26亿元)...在三季度财报中,ASML完成58亿欧元的净销售额,毛利率51.8%、净利润17亿欧元,公司预计四季度净销售额在61~66亿欧元之间......

  • ASML发布Q3财报 EUV光刻机狂卖268亿元

    荷兰ASML公司今天发布了Q3季度财报,净营收同比增长10%至58亿欧元,超出此前预期的53.9亿欧元;净利润17.01亿欧元,同比下降了2.24%,但表现也超出了预期的14.2亿欧元...根据ASML的数据,Q3季度中该公司出货了12台EUV光刻机,与上一年度持平,不过他们在本季度依然获得了89亿欧元的新订单,其中EUV光刻机就占了38亿欧元,折合人民币268亿元......

  • 显卡滞销 消息称AMD、NVIDIA砍单 台积电EUV都要关机了

    今年5月份,显卡市场实现了牛熊转换,由于矿卡市场崩盘,原本供不应求的显卡一下子没有那么的需求了,AMD及NVIDIA都在为显卡库存发愁,也直接导致了显卡价格一落千丈,现在大部分都跌破发行价了...这波清库存的时间要持续一两个季度,今年Q3季度的业绩也不会好转,此前还有消息称9月份随着以太坊2.0升级,矿卡会被彻底淘汰,显卡价格还要进一步崩盘呢...显卡卖不动,NVIDIA及AMD下订单自然也会受到影响,日前有爆料称他们两家,再加上今年同样遭遇需求下滑手机芯片厂商联发科及高通,四大客户都集体砍单台积电......

  • Intel先进工艺一路狂飙:4nm EUV好评不断、1.8nm启动测试

    Intel前几天在财报会议上已经确认,该工艺将在今年下半年准备就绪,即将量产,IntelCEO基辛格表示这一代工艺已经获得了好评,认可度很高...GraniteRapids虽然是面向2024年的,但是Intel现在已经在开始测试了,CEO基辛格确认它今年下半年进入tapein阶段tapeout是流片阶段,差不多完成了,而tapein是设计验证阶段,比较早期...不仅是Intel3工艺今年就要验证,未来重中之重的Intel18A工艺等效友商1.8nm工艺的新一代工艺也会在今年下半年启动测试......

  • 首发“4nm EUV”工艺 Intel 14代酷睿新增AI单元:性能暴涨

    14代酷睿中的VPU单元具体性能如何还不好说,但是6年多过去了,AI性能只会大幅提升,14代酷睿在AI上的表现毫无疑问会很优秀,性能暴涨是没跑的...

  • 价值10亿一台 消息称Intel已有12台EUV光刻机:14代酷睿首发4nm EUV工艺

    EUV光刻机是目前半导体生产中最先进也是最复杂的装备,售价约合10亿一台,只有荷兰ASML公司能够生产,虽然客户也只有Intel、台积电、三星这三家,但现在还是供不应求,去年生产了55台,预计2025年产能提升到每年90台...虽然10-12台EUV光刻机听着不多,但是实际的产能不小,EUV光刻机每小时处理的晶圆数在160-220个左右,平均200个来算,一年中24小时不停生产就有170万晶圆,足够生产数千万芯片,10台以上的总量足够Intel生产未来的处理器了......

  • 一台几十亿!台积电、Intel、三星疯抢ASML EUV光刻机

    台积电今日(6月17日)在北美技术论坛上公布了新的制程路线图,定于2025年量产2nm工艺,其采用Nanosheet(纳米片电晶体)的微观结构,取代FinFET...台积电还雄心勃勃地提出,要在2025年前将成熟和专业化制程的产能提高50%,包括兴建更多的晶圆厂...台积电表示,计划在2024年引入ASML的新一代EUV极紫外光刻机...这款光刻机价值高达4亿美元(约合26亿元人民币),双层巴士大、重超200吨......

  • 台积电:将于2024年引进下一代ASML High-NA EUV光刻机

    据路透社报道,台积电研发资深副总经理Y.J. Mii在台积电技术论坛上表示,公司将在 2024 年引进ASML高数值孔径极紫外光(high-NA EUV)光刻机...台积电研发高级副总裁Y.J. Mii在台积电硅谷技术研讨会上表示:「展望未来,台积电将在 2024 年引入 High-NA EUV光刻机,以开发客户所需的相关基础设施和图案化解决方案,并推动创新......

  • 三星掌门人拜访荷兰首相,商请协调EUV光刻机供货问题

    中关村在线消息:有媒体报道三星掌门人李在镕与荷兰首相马克・吕特进行了会晤,除了讨论半导体行业、供应链相关问题之外,还提到希望首相能帮助协调ASML提供EUV光刻机供货问题...ASML的CEO Peter Wennink表示,ASML交付的每一台光刻机都是按照客户需求个性化定制、手工打造的,直到出货为止需要大量时间的调校、打磨,至少在未来几年之内ASML都无法顺利交付所有订单,除非将产能提升50%以上...

  • “4nm EUV”功耗降低40% Intel 14代酷睿有望击败苹果M2

    在12代、13代酷睿连续使用Intel 7工艺之后,Intel今年下半年还会量产Intel 4工艺,这还是Intel首个EUV工艺,等效台积电4nm EUV”的这代工艺不仅性能大幅提升21.5%,同时功耗还可以降低40%,有望让x86在能效上击败苹果M2......

  • Intel大方公布14代酷睿处理器:4nm EUV工艺威力无边

    在2022 IEEE VLSI研讨会上,Intel确认,Meteor Lake移动版和桌面处理器将采用Intel 4工艺,Intel 4也就是早先Intel的7nm,但现在Intel变更制程话术后,与三星台积电统一后,其实是4nm EUV了...一同展示的还有Meteor Lake-P的内核照,可以看到6个性能核和8个能效核,除了x86计算核心,Meteor Lake-P还封装了GPU核显、I/O Die等单元......

  • 14代酷睿6GHz有戏 Intel 4 EUV工艺频率提升20%

    至于性能,Intel也给出了具体的指标,同样的ISO功耗下,相比目前的Intel 7工艺,Intel 4的频率提升20%...相比以往的性能提升,Intel这次给出的说法确认了频率提升20%,考虑到12代酷睿CPU频率已经超过5.2GHz,这意味着14代酷睿在功耗不变的情况下,频率冲上6GHz是没问题的......

  • 三星李在镕本周有望到访阿斯麦:抢购EUV光刻机

    据外媒消息,三星电子副会长李在镕有望在本周到访荷兰光刻机制造商阿斯麦(ASML),媒体认为他此行是为了抢购EUV光刻机。据悉,李在镕的荷兰商务旅行将从6月7日开始,一直持续到6月18日。三星此前宣布将在5年内向半导体和生物制药领域投资3600亿美元(约合23977亿元人民币),将尽早进口EUV光刻机,使三星在制造最先进的微芯片方面占据优势。据媒体报道,三星对其芯片代工业务的高层进行了人员调整,显示业务部门37岁以下的员工,可以申请调整到芯片封装业务部门,此次部门调整也是为了加强自身芯片制造实力。此外,三星李在镕与Intel CEO

  • ASML分享High-NA EUV光刻机新进展 目标2024-2025年进厂

    当前市面上最先进的芯片,已经用上了 5 / 4 nm 的制造工艺...新款高 NA 扫描仪仍在开发之中,预计其结构将异常复杂、庞大、且昂贵 —— 每台成本将超过 4 亿美元...不过英特尔又将 18A 的上手时间推迟到了 2024 下半年,并表示可借助 ASML 的 Twinscan NXE:3600D 或 NXE:3800E 系统实现 18A 制造(大概率是利用多重曝光来实现)......